groovylight/verilog/tb/coordinator_tb.sv
saji 37dabd603a coordinator works now
fixed some off by one errors to make the screen work good
2024-05-01 16:14:32 -05:00

15 lines
302 B
Systemverilog

`timescale 1ns / 100ps // 1 ns time unit, 100 ps resolution
module coordinator_tb();
reg clk = 0;
coordinator dut(.clk(clk));
always #8 clk = !clk;
initial begin
$dumpfile("coordinator.vcd");
$dumpvars(0, coordinator_tb);
repeat (100000) @(posedge clk);
$finish;
end
endmodule